Мегаобучалка Главная | О нас | Обратная связь


Пример простейшей программы на языке VHDL



2020-02-04 207 Обсуждений (0)
Пример простейшей программы на языке VHDL 0.00 из 5.00 0 оценок




УДК 004.3144(075)

ББК 3.973.2-04

Г

Грушвицкий Р. И., Мурсаев А. Х.

Г  Проектирование цифровых устройств на VHDL: Учеб. пособие. – СПб.: 2012

Изд-во ……. , 2012. 90 с.

 

ISBN 978-5-7629-1086-6

 

 

Представлены основные этапы проектирования цифровых устройств с использованием языков проектирования: созданием описания, моделирования, встраивания  проекта в реальную ИС, входящую в состав типовой системы проектирования на ПЛИС, и отладку получаемого устройства. Изложение сопровождается рекомендациями по практическому освоению различных этапов методики проектирования.

Предназначено для студентов высших учебных заведений, обучающихся по направлению  “Информатика и вычислительная техника” и специалистов, связанных с разработкой цифровых устройств и систем.

 

Предисловие

 

Настоящее пособие занимает промежуточное положение между методическими указаниями к лабораторным работам и учебником. Очевидно, понятие учебного пособия в наибольшей степени отражает суть настоящей работы.  Пособие продолжает и расширяет рассмотрение вопросов, поднятых авторами в методических указаниях “Элементы программирования на VHDL” [1] 2000 год,   пособии “Проектирование систем на микросхемах с программируемой структурой ” [2] 2006 год,   пособии “Моделирование цифровых устройств на VHDL” [3] 2010 год. Именно поэтому многие фрагменты настоящей работы  повторяют материалы предшествующих публикаций. Однако само название показывает изменение целевой направленности пособия.

Задача  настоящей работы (так же как и работ [4], [5]) помочь читателям в освоении приемов проектирования цифровых устройств, используя язык VHDL как инструмент описания проектов, а моделирование в современных САПР как один из важнейших (но не единственный) этапов проектирования. Требования краткости изложения и ориентации на продолжительность изучения затрагиваемых  проблем  не более 32 академических часов лекций и тесно связанных с ними лабораторных работ (6 тем или около 12 часов) заставляют считать этот курс лишь начальным этапом   изучения проблематики проектирования цифровых устройств на языке VHDL.

 

Все лабораторные работы, приводимые в настоящем пособии, позволяют изучать  процесс  проектирования цифровых устройств, опираясь на  их описание средствами  языка VHDL. В пособии рассматриваются последовательно усложняющиеся практические примеры описания типовых элементов вычислительной техники. Синтаксическая и семантическая правильность описания как отдельных фрагментов, так и системы в целом     проверяется на функциональных моделях. Сложность фрагментов простирается  от комбинационных схем до схем с памятью, включающих последовательностные схемы и конечные автоматы.

В работах приводится методика проведения функционального моделирования разработок. Несмотря на существенную вероятность обнаружения  имеющихся дефектов, большую эффективность дают методы, связанные с экспериментами на   реальном оборудовании. Ещё больше информации дают методы временного моделирования, когда в модели учитываются временные характеристики элементов   реальной технологической реализации.  В пособии показано, что во многих случаях совсем не обязательно дожидаться изготовления платы, предназначенной для окончательной реализации проекта.  

Широкое распространение получили так называемые   прототипные платы разработчика, содержащие интегральные схемы программируемой логики (ПЛИС), что позволяет организовать требуемые эксперименты. Процедура занесения в ПЛИС проектной информации обычно носит название имплементацияпроекта.   После имплементации, отладку проекта можно производить в условиях практически совпадающих   с работой реальной системы. Для определенности в пособии предполагается, что рассматриваемые лабораторные работы  выполняются на   ПЛИС отладочной платы   DE0 фирмы TerASIC. Следует иметь в виду, что большинство положений пособия остается справедливым и при использовании других технических средств.

Во всех лабораторных работах   процедура исследования цифровых схем  начинается с отладки проекта на его модели – моделировании, а после получения ожидаемых результатов   производится имплементация проекта и окончательное решение о правильности решения поставленной задачи принимается после успешного завершения реальных экспериментов.

 

1. C овременный проектный поток.

Пример простейшей программы на языке VHDL

Программа на языке VHDL создается для достижения определенной цели при проектировании. Существует три основных назначения программ: для спецификации объекта, для  моделирования поведения объекта, для последующего синтеза объекта в заданном базисе. Одна и та же программа может использоваться как основа всех трех назначений или любого их сочетания. Хотя теоретически процесс проектирования современных систем (Design flow) должен строиться именно в такой последовательности (задание на проектируемое устройство, спецификация, моделирование, синтез), для целей обучения (когда проектировщику ещё неизвестны даже простейшие приемы работы над проектом) целесообразно двигаться от простого к сложному, но сразу стремясь к конечному результату.  Именно так и строится данное пособие.

Данный раздел  посвящен синтезу простейшей комбинационной схемы, моделированию её поведения и имплементации в реальную схему FPGA. Первые два этапа работы выполняются с помощью САПР QuestaSim фирмы Model Technologies, а имплементация с помощью САПР Qurtus II фирмы Altera, загружающей проект в ИС   FPGA отладочной платы   DE0 фирмы Terasic. В дальнейшем по мере прохождения материала будут представляться различные аспекты описаний и интерпретации в аппаратуре.

 



2020-02-04 207 Обсуждений (0)
Пример простейшей программы на языке VHDL 0.00 из 5.00 0 оценок









Обсуждение в статье: Пример простейшей программы на языке VHDL

Обсуждений еще не было, будьте первым... ↓↓↓

Отправить сообщение

Популярное:



©2015-2024 megaobuchalka.ru Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. (207)

Почему 1285321 студент выбрали МегаОбучалку...

Система поиска информации

Мобильная версия сайта

Удобная навигация

Нет шокирующей рекламы



(0.007 сек.)