Мегаобучалка Главная | О нас | Обратная связь


Параллельный двоичный счетчик



2019-08-14 787 Обсуждений (0)
Параллельный двоичный счетчик 0.00 из 5.00 0 оценок




В счетчиках с параллельным переносом (синхронных счетчиках) тактовые импульсы подаются одновременно на все разряды, однако из-за наличия специальной логики срабатывают только те триггеры, состояния которых соответствуют коду числа импульсов, поступивших на его вход. Схема трехразрядного синхронного счетчика на RS-триггерах приведена на рис. 13.41.

Рис. 13.41

Исходное состояние соответствует коду 000. После подачи первого импульса выход Q1 будет соответствовать уровню логической 1, при этом будет подготовлен к срабатыванию первый логический элемент «И». В счетчике будет записан код 001. Второй счетный импульс переведет первый триггер в нулевое состояние, а Q2 – в единичное. В счетчике запишется код 010. Теперь первый и второй логический элемент будут закрыты, а после подачи третьего импульса первый триггер перейдет в единичное состояние и в счетчике запишется состояние 011. Оба логических элемента перейдут в состояние пропускания счетных импульсов. После подачи четвертого импульса все триггеры срабатывают, что соответствует коду 100. Пятый импульс переведет счетчик в состояние 101 и т.д.

Быстродействие определяется выражением:

 

,                              (13.44)

где tЗД.И – время задержки схемы «И».

 

Счетчик с параллельным переносом можно построить и на многовходовых J-K-триггерах без использования дополнительных логических элементов.

 

Рис. 13.42          

На рис. 13.52 изображена схема двоично-десятичного счетчика, работающего в коде 8-4-2-1, а состояния его разрядов приведено в табл. 4.1.

                                                      

                                                                 Таблица 4.1

 

Принцип работы счетчика примерно такой же, как и счетчика на R-S-триггерах, роль логических элементов выполняют дополнительные J-K- входы.

    Счетчики с некратным коэффициентом деления также часто используется на практике . В этом случае требуемый коэффициент деления счета отличается от  или 10. В этом случае используются счетчики с необходимым коэффициентом деления. Если используется n триггеров на  возможных состояний, то за счет введения обратных связей, можно часть лишних состояний исключить и получить численный коэффициент .

Схема с коэффициентом счета М = 5 имеет следующий вид (рис. 13.44).

Рис. 13.44

Разработка счетчиков направлена в основном на увеличение их быстродействия. У современных счетчиков максимальная частота переключения достигает десяти гигагерц и выше.

Цифровые регистры

Цифровые регистры - это устройства, предназначенные для хранения и преобразования многоразрядных двоичных чисел. Запоминающими элементами регистра являются триггеры, число которых равно разрядности хранимых чисел.

      Кроме триггеров регистры содержат также комбинационные схемы, предназначенные для ввода и вывода хранимых чисел, преобразования их кодов, сдвига кодов на то или иное число разрядов. Информация в регистрах хранится, как правило, в течение некоторого количества тактов.

· параллельные регистры (регистры памяти или хранения ),

· последовательные регистры (регистры сдвига),

· параллельно-последовательные регистры (например, ввод в параллельном коде, вывод – в последовательном и наоборот).

    В регистрах памяти число вводится (выводится) за один такт, а в регистрах сдвига – за n тактов, где n – разрядность чисел.

     По способу ввода-вывода регистры подразделяются на однофазные и парафазные. В однофазных ввод (и вывод) производится только в прямом или только в обратном коде, в парафазных возможен ввод и вывод как в прямом, так и в обратном кодах.

        В параллельных регистрах можно производить поразрядные логические операции с хранимым числом и вновь вводимым. Вид логических операций зависит от типа триггеров, составляющих регистр, и комбинации сигналов управления.

    Регистры сдвига применяются для преобразования последовательного кода в параллельный (и обратно), для умножения и деления многоразрядных чисел и т. д.

Общая структурная схема регистра памяти представлена на рис. 13.45.

Рис. 13.45. Структура параллельного регистра (памяти)

          

Изменение хранящейся информации (ввод новой информации) происходит после соответствующего изменения сигналов на входах A при поступлении определенного уровня (С = 0 или С = 1) или фронта синхросигналов. В качестве разрядов регистра памяти используются синхронизируемые D-триггеры, если информация поступает в виде однофазных сигналов, или RS-триггеры, если информация поступает в виде парафазных сигналов (рис. 13.26)

 

 

Рис. 13.46. Регистры памяти: а – однофазный; б – парафазный

 

Предварительная очистка регистра производится с помощью асинхронных входов Rа установки триггеров в нулевое состояние.

В ряде случаев регистр хранения содержит устройства, выполняющие также операцию сдвига, образуя таким образом регистр хранения и сдвига. Можно осуществлять сдвиг в сторону старших (влево) и в сторону младших (вправо) разрядов. Причем можно осуществлять сдвиг как двоичных чисел, так и двоичных кодов на один или несколько разрядов.

Каждый элемент регистра хранения должен сначала передать хранимую информацию, а затем изменить свое состояние за счет приема информации от предыдущего элемента. Естественно, что передача и прием информации не могут происходить одновременно, поэтому необходимо разделить указанные операции во времени.

Эту трудность можно обойти, используя синхронные двухступенчатые триггеры или синхронные триггеры с динамическим управлением записью. В этих триггерах по переднему фронту синхроимпульса

Временная диаграмма построена для случая, когда до сдвига на регистре зафиксирован код …101010… .

 В исходном состоянии промежуточным разрядам регистра соответствует код …101… . После подачи сигнала по шине сдвига “C” через время устанавливается код 010 и т. д.

Важным параметром регистра является время сдвига, которое равно отрезку времени от момента поступления импульса на шину “C” до момента установления сдвинутого кода в регистре. Для данного случая .

Последовательный ввод информации и сдвиг вправо несложно можно построить на основе D-триггеров (рис. 13.48). Здесь используется однофазный входной сигнал.

Рассмотрим запись числа 001. При подаче “1” на вход  триггер Т3 подготовлен к срабатыванию и после первого тактового импульса перейдет в состояние “1”.

Перед вторым тактовым импульсом на вход  подается “0”, а на вход  – “1”. После окончания второго тактового импульса единица записывается в триггер Т2 и состояние триггеров запишется кодом 010.

 

Рис. 13.48

 

При реализации регистра на JK-триггерах информация от разряда к разряду передается одновременно по входам J и K (рис. 13.49). Рассмотрим функционирование этой схемы более подробно (табл. 5.1). Первый JK- триггер преобразован в D- триггер, через который передается входной код. Подадим на вход первого триггера информацию , т.е. , а на вход С –единичный импульс. Таким образом, после первого импульса .

 

Рис. 13.49

 

При подаче на вход новой информации  и второго тактового импульса на вход С триггер  принимает информацию с выхода , т.е. , а триггер  примет новую информацию: = . После третьего синхроимпульса ; ; , после четвертого – : ; ; .

Таблица 5.1

 

Данный регистр состоит из четырех триггеров и может хранить четыре бита информации. После четвертого такта на выходе  хранится код . С выходов  можно сделать параллельный вывод последовательно введенной информации. Однако возможен и последовательный вывод с триггера  при подаче тактовых импульсов под номером 4…7. При этом по этим тактовым импульсам можно ввести и новую информацию.

 

Реверсивные регистры

Регистры, которые обеспечивают сдвиг влево и вправо на n ≥ 1 разрядов, называются реверсивными. Регистры могут иметь несколько цепей сдвига, каждая из которых может обеспечить сдвиг на 1, 2, 4 или 8 разрядов одновременно. Принципы управления остаются теми же.

 

 

Рис. 13.50

Возможная схема построения реверсивного регистра на “D”-триггерах приведена на рис. 13.50. Для одновременного ввода в регистр всех разрядов числа, что требуется, например, при последовательном умножении или последовательном сложении, имеются шины Р1...Р4. При сигнале L = 1 по этим входам осуществляется параллельный ввод информации. Если сигнал на управляющем входе L = 0, то осуществляется операция сдвига вправо, а также последовательный ввод информации по входу . Если каждый из параллельных входов соединить с выходом соседнего триггера справа (как это показано штрихпунктирной линией), то можно осуществить сдвиг информации влево. Направление сдвига можно менять с помощью управляющего сигнала по входу L.

Кольцевые регистры представляют собой такие регистры, у которых возможно вывести информацию из регистра без ее стирания. С этой целью выведенная информация по цепи обратной связи снова вводится в регистр. Схема такого устройства может иметь следующий вид ( рис. 13.51).

 

Рис. 13.51.

Если на вход U поступает сигнал логической единицы, то обратная связь не работает и регистр работает в обычном режиме. За первые n-тактов в регистре записывается n-разрядный код. Чтобы не потерять введенную информацию, на n-м такте на вход U подается уровень логического нуля, при этом D = , а сигнал с  не воспринимается. После подачи n тактовых импульсов в регистре будет записан прежний код. Таким образом, вход управления U определяет, записывается ли старая информация или вводится новая.

 



2019-08-14 787 Обсуждений (0)
Параллельный двоичный счетчик 0.00 из 5.00 0 оценок









Обсуждение в статье: Параллельный двоичный счетчик

Обсуждений еще не было, будьте первым... ↓↓↓

Отправить сообщение

Популярное:
Почему люди поддаются рекламе?: Только не надо искать ответы в качестве или количестве рекламы...
Почему двоичная система счисления так распространена?: Каждая цифра должна быть как-то представлена на физическом носителе...



©2015-2024 megaobuchalka.ru Все материалы представленные на сайте исключительно с целью ознакомления читателями и не преследуют коммерческих целей или нарушение авторских прав. (787)

Почему 1285321 студент выбрали МегаОбучалку...

Система поиска информации

Мобильная версия сайта

Удобная навигация

Нет шокирующей рекламы



(0.006 сек.)